Intel’s 1.8nm Challenge to TSMC’s Dominance

Intel’s 1.8nm process surpasses TSMC’s 2nm process, according to Intel’s CEO.

Intel's 1.8nm

Intel CEO Pat Gelsinger is confident that his company will outperform TSMC in the coming years. In an interview with Barrons’, he highlighted the strengths of Intel’s 18A process (1.8 nm) compared to TSMC’s N2 process (2 nm). Both the 18A and N2 processes will use GAA (RibbonFET) transistors, successors to the widely used FinFET technology. Intel’s 1.8 nm class process will also feature PowerVia, a reverse power feed technology that optimizes power and clock speed.

Intel has already tested PowerVia on its 4 nm class process, showcased in Meteor Lake. According to the manufacturer’s internal tests, PowerVia demonstrates a >5% frequency improvement and >90% cell density on Intel 4. The 18A node will not be the first to use RibbonFET or PowerVia. This honor goes to the 20A process (2 nm), which will be launched in the 15th generation Arrow Lake processors next year.

Intel may lead at a technological level, but it lacks sufficient production capacity or a good reputation. Despite years of delays, its 10 nm process was limited to the mobile device market for two generations, indicating limited production capacities. The same can be said of the Intel 4 process (4 nm) powering Meteor Lake.

Core Ultra processors demonstrate a sharp decrease in clock speed with minimal efficiency gains. The availability of these chips outside the United States is also low. TSMC has a long list of loyal customers, whose plans are based on its proven implementation strategy. These include AMD, NVIDIA, Qualcomm, MediaTek, and others. On the other hand, Intel cannot produce 4 nm class Meteor Lake chips that would be as efficient as AMD’s year-old Phoenix parts.

  1. Technological Advancements: Intel’s push towards a 1.8nm process is indicative of the ongoing trend in the semiconductor industry towards ever-smaller and more efficient chip architectures. This relentless pursuit of miniaturization, known as Moore’s Law, has been a driving force in the industry for decades. Intel’s advancements suggest a continued commitment to this trend.
  2. Market Implications: Intel’s confidence in outperforming TSMC could significantly impact the semiconductor market. TSMC has been the industry leader, particularly in advanced node technologies. If Intel successfully delivers on its 1.8nm process and gains a technological edge, it could shift market dynamics, potentially attracting more clients who are currently reliant on TSMC.
  3. Challenges for Intel: Despite technological advancements, Intel faces challenges. Their past delays in delivering newer technologies and limited production capabilities, as highlighted in the 10nm and 4nm processes, raise questions about their ability to scale up production for the 1.8nm process efficiently. Furthermore, rebuilding industry trust and customer base will be crucial for Intel.
  4. Impact on Other Players: Intel’s advancements could prompt other industry players, like AMD, NVIDIA, and MediaTek, to reassess their manufacturing partnerships. These companies currently rely heavily on TSMC for their high-end chip production. A more competitive Intel could lead to a more diverse semiconductor ecosystem.
  5. Potential for Innovation: The introduction of new technologies like PowerVia and RibbonFET in Intel’s 1.8nm process may spur further innovations in chip design and functionality, potentially leading to more powerful, energy-efficient, and smaller chips. This could have broad implications for various technology sectors, including computing, mobile devices, and IoT.

In summary, while Intel’s claims about its 1.8nm process are promising, the company’s ability to deliver on these claims and the market’s response will be crucial in determining its future position in the semiconductor industry.